Imec demonstreert gereedheid van het High-NA EUV Patterning Ecosysteem

0

Deze week, op de 2024 2024 Advanced Lithography + Patterning Conference, presenteert imec, een wereldwijd toonaangevend onderzoeks- en innovatiecentrum in nano-elektronica en digitale technologieën, de vooruitgang die geboekt is in EUV-processen, maskers en metrologie die voorbereid zijn om extreme ultraviolet (EUV) lithografie met hoge numerieke apertuur (High-NA) mogelijk te maken. De belangrijkste verwezenlijkingen worden gerapporteerd op het vlak van resistentie- en onderlaagontwikkeling, maskerverbetering, optische nabijheidscorrectie (OPC), veld stitching met hoge resolutie, vermindering van stochastische fouten en verbeterde metrologie en inspectie. Met deze resultaten toont imec aan dat het klaar is om de EUV-processen over te brengen naar het gezamenlijke imec-ASML High-NA EUV Lab, gebouwd rond het eerste prototype van de High-NA EUV-scanner.

Steven Scheer, senior vice president Advanced Patterning, Process and Materials bij imec: “De eerste High-NA EUV scanner (TWINSCAN EXE:5000) is geassembleerd door ASML en de eerste wafers zullen binnenkort belicht worden. In de komende maanden zal het gezamenlijke imec-ASML High-NA EUV lab operationeel zijn en zal toegang worden verleend aan de High-NA klanten. Het High-NA EUV lab, met de geïnstalleerde apparatuur en processen, maakt een vroege start van High-NA EUV-learning voor de klanten mogelijk, nog voor de tools operationeel zijn in hun fabs. Het is de rol van imec geweest om, in nauwe samenwerking met ASML en ons uitgebreide leveranciersnetwerk, te zorgen voor de tijdige beschikbaarheid van geavanceerde resistente materialen, fotomaskers, metrologietechnieken, (anamorfe) beeldvormingsstrategieën en patroontechnieken. De gereedheid van deze processen voor High-NA enablement wordt aangetoond in meer dan 25 papers die worden gepresenteerd op de 2024 SPIE Advanced Lithography & Patterning Conference.”

blank

Link magazine februari/maart 2024 Thema: Verdienmodel van de toekomst voor de BV Nederland. Lees Link magazine digitaal of vraag een exemplaar op bij mireille.vanginkel@linkmagazine.nl

Field stitching is een belangrijke factor in High-NA: field stitching is nodig vanwege de anamorfe lens (d.w.z. een lens met verschillende de-magnificatie in de x- en y-richting), wat resulteert in veldgroottes van de helft van de conventionele scannerveldgrootte. Imec zal de nieuwste inzichten delen die stitchen met at-resolutie mogelijk maken, gebaseerd op werk gedaan met ASML en onze maskerwinkel partners op imec’s NXE:3400C scanner. Stitchen met at-resolutie vermindert de nood aan ontwerpveranderingen om de reductie van de veldgrootte op te vangen.

Aan de materiaal- en proceszijde is het duidelijk dat metaaloxideharsen (MOR’s) nog steeds aan de leiding staan voor metaallijn/ruimtepatronen. Imec zal de vooruitgang van MOR presenteren in termen van EUV dosis-opbrengst reductie. De selectie van de specifieke onderlaag, optimalisatie van het ontwikkelproces, keuze van maskerabsorber, maskerbias en maskertonaliteit leidden tot een dosisvermindering van meer dan 20% voor lijnen en ruimtes, zonder verhoogde ruwheid of stochastische mislukkingen. Ook de tip-tip afmetingen werden niet negatief beïnvloed door deze dosisreductie activiteiten. Het werk aan de dosisreductie gaat door en wordt zeer gewaardeerd door onze chipfabrikanten, omdat het leidt tot een kostenreductie voor EUV dankzij de hogere scannerdoorvoer.

Een onverwacht resultaat werd verkregen door het gebruik van MOR-harsen met een binair helderveldmasker voor het maken van patronen voor contactgaten. Een 6% dosisreductie met een 30% verbetering van de lokale CD-uniformiteit (LCDU) werd bereikt na patroonoverdracht in vergelijking met een chemisch versterkte positieve toonweerstand (CAR) en een binair donkerveldmasker overgedragen in dezelfde stapel. Een overblijvend punt van zorg van helderveldmaskers voor contactgaten is de maskerkwaliteit en defectiviteit. Dit moet zorgvuldig worden onderzocht om MOR een optie te maken voor contactgaten. Tot die tijd zullen positieve CAR-toonweerstanden met donkerveldmaskers de belangrijkste kandidaten zijn voor contact- en via-patronen in EUV met hoog-NA.

High-NA vereist ook verbeteringen in metrologie en inspectie, vanwege de hogere resolutie (door de hoge NA) en dunnere films (door de verminderde focusdiepte (DOF)). Imec zal nieuwe resultaten presenteren op het gebied van e-beam en deep-UV (DUV) inspectie, waaruit blijkt dat er nieuwe best bekende methoden (BKM’s) zijn om High-NA-relevante stochastische patroonfouten te vinden, zoals zeshoekige contactgaten. Daarnaast zullen verschillende machine-learning technieken (gebaseerd op het denoizeren van SEM-microfoto’s) worden voorgesteld om de inspectie en classificatie van kleine defecten te vergemakkelijken.

Tot slot zullen imec en partners beeldvormingsverbeteringen voorstellen door bron-masker optimalisaties en anamorfe masker OPC (rekening houdend met de nood aan stitching).

Share.

Reageer

Deze site gebruikt Akismet om spam te verminderen. Bekijk hoe je reactie-gegevens worden verwerkt.

Geverifieerd door ExactMetrics