Imec versnelt de ontwikkeling van het High-NA EUV Patterning Ecosysteem

0

Deze week, op de 2022 SPIE Advanced Lithography and Patterning Conference, presenteert imec belangrijke vooruitgang in de voorbereiding van het High-NA patterning ecosysteem voor het imec-ASML Joint High-NA Lab, dat zal draaien rond het eerste 0.55NA extreme ultraviolet (EUV) lithografie prototype tool. High-NA EUV-lithografie zal essentieel zijn om de Wet van Moore te doen vorderen voorbij de 2nm-technologiegeneraties. Er wordt vooruitgang gemeld in de ontwikkeling van patroon- en etsprocessen, in de screening van nieuwe resist- en onderlaagmaterialen, in de verbetering van de metrologie en in de fotomasktechnologie.

Luc Van den hove, CEO imec: “Imec werkt samen met ASML aan High-NA-technologie nu ASML zijn eerste prototype 0,55NA EUV-lithografiescanner EXE:5000 aan het bouwen is. High-NA EUV-lithografie zal naar verwachting de meest kritische eigenschappen printen die nodig zijn voor logic chips van meer dan 2 nm, met minder patroonstappen in vergelijking met de huidige 0,33NA EUV-lithografie. Het is onze rol om, in nauwe samenwerking met het wereldwijde patroonecosysteem, te zorgen voor de tijdige beschikbaarheid van geavanceerde resistmaterialen, fotomaskers, metrologietechnieken, (anamorfe) beeldvormingsstrategieën en patroontechnieken – om volledig te kunnen profiteren van de resolutiewinst die de High-NA EUV-lithografiescanner biedt. Op de SPIE Advanced Lithography Conference van dit jaar hebben we 12 bijdragen op het gebied van High-NA EUV-lithografie, waaruit blijkt dat we goed op weg zijn om ons voor te bereiden op het ecosysteem.”

Proces- en materiaaloptimalisatie voor minder patroonruwheid en defectiviteit

In afwachting van het eerste High-NA EUV prototyping systeem, verhogen we de resolutiemogelijkheden van de huidige 0.33NA EUV patroontechnologieën om de prestaties te voorspellen van dunnere harsen voor het printen van fijne lijnen/ruimtes en contactgaten. Naast het inzakken van patronen, identificeert imec de ruwheid van de lijn-rand (LER) als één van de meest kritische parameters voor het printen van lijnen/ruimtes met dunne resist films, en stelt strategieën voor om de ruwheid van het patroon te verminderen (bv. door het afstemmen van verlichting en maskercondities). Bovendien presenteren imec en zijn materiaalleveranciers de resultaten van het screenen van nieuwe resist materialen (zoals metaal-oxide resists) en onderlagen met veelbelovende patroonoverdrachtscapaciteiten onder High-NA condities. Ze stellen ook specifieke patroon- en etsschema’s voor om defectiviteit en stochastische printfouten te verminderen.

blank

Link magazine editie februari 2022 thema Supply-security, dealen met het (volgende) opzweepeffect. Lees Link digitaal of vraag een exemplaar op: mireille.vanginkel@linkmagazine.nl’

De metrologie afstemmen op dunne resistieve films en kleine elementen bij hoge doorvoer

De overgang naar kleinere afmetingen (b.v. 10 nm brede lijnen) en dunnere resist films (20 nm en lager) stelt de metrologie op twee belangrijke manieren voor uitdagingen. Ten eerste moeten metrologici het hoofd bieden aan een sterk verminderd beeldcontrast van CD-SEM instrumenten. Ten tweede vereist de noodzaak om kenmerken af te beelden die zelfs kleiner zijn dan 10 nm (rekening houdend met overlay-prestaties, LER en stochastische printfouten) metrologie-instrumenten met verbeterde resolutie. Kurt Ronse, Program Director Advanced Patterning imec: “Imec en zijn partners slaan verschillende wegen in om deze uitdagingen aan te pakken. Ze tonen aan dat het beeldcontrast aanzienlijk kan worden verbeterd door de werkingsvoorwaarden van bestaande metrologietools aan te passen. Beeldanalyse en defectclassificatie worden verder verbeterd door specifieke software, ondersteund door deep learning frameworks (zoals deep learning based de-noising). Tot slot verkent imec in nauwe samenwerking met zijn metrologieleveranciers alternatieve metrologietechnieken voor het betrouwbaar meten van kleine features, zoals scanning probe-metrologie met hoge doorvoer en SEM met lage-voltage aberratiecorrectie.”

Aanpak van High-NA EUV mask specifieke uitdagingen

Imec heeft de impact gesimuleerd van EUV masker onvolkomenheden (meer specifiek, masker multilayer ripple en absorber line-edge ruwheid) op de beeldvorming van 22nm pitch lijnen/ruimtes. “Uit deze studie wordt duidelijk dat onvolkomenheden in het masker steeds meer invloed hebben op het uiteindelijke waferpatroon, wat aangeeft dat de Mask Design Rules strakker moeten worden”, voegt Kurt Ronse toe. “Deze bevindingen stellen ons in staat om maskerspecificaties voor high-NA EUV-lithografie te identificeren. Daarnaast onderzoeken we samen met ASML en onze materiaalleveranciers nieuwe materialen en architecturen voor de maskerabsorber, die het patroon draagt. In deze context hebben we voor het eerst belichtingen uitgevoerd om de impact te evalueren van het gebruik van laag-n verzwakte faseverschuivingsmaskers op de beeldvorming van zowel via-lagen als lijnen/ruimtes. Maskers met lage-n absorberende materialen als alternatief voor de momenteel gebruikte Ta-gebaseerde blanco’s blijken de 3D-effecten van het masker op de wafer te verbeteren, en zo de hoge-NA-focusdiepte te helpen vergroten.”

 

Share.

Reageer

Deze site gebruikt Akismet om spam te verminderen. Bekijk hoe je reactie-gegevens worden verwerkt.

Geverifieerd door ExactMetrics