Imec duwt de grenzen van EUV Lithography Single Exposure voor Logic N5 metaallagen en Dense DRAM-toepassingen

0

Imec, ‘s werelds toonaangevende onderzoeks- en innovatiehub op het gebied van nano-elektronica en digitale technologie, blijft de bereidheid van EUV-lithografie vergroten met bijzondere aandacht voor de EUV-blootstelling van Logic N5-metaallagen en van agressieve dichte gatenarrays. Imec’s aanpak om EUV-single-patterning op deze dimensies mogelijk te maken, is gebaseerd op de co-optimalisatie van verschillende lithografie-enablers, waaronder materialen, metrologie, ontwerpregels, nabewerking en een fundamenteel begrip van kritieke EUV-processen. De resultaten, die tijdens de SPIE Advanced Lithography Conference van deze week 2018 in meerdere papers zullen worden gepresenteerd, zijn gericht op een aanzienlijke impact op de technologische roadmap en waferkosten van near-term technologieknooppunten voor logica en geheugen.

Nu de industrie aanzienlijke verbeteringen in de gereedheid van de EUV-infrastructuur aanbrengt, wordt de eerste insertie van EUV-lithografie in grootschalige productie verwacht in de kritische back-end-van-line metaal- en vialagen van de gieterij N7 Logic-technologieknoop, met metaalvelden in de bereik van 36-40nm. Het onderzoek van Imec richt zich op het volgende knooppunt (32 nm pitch en layer), waar verschillende patroonbenaderingen worden overwogen. Deze benaderingen variëren aanzienlijk in termen van complexiteit, waferkosten en tijd om op te leveren, en omvatten variaties van EUV-multipatronen, hybride EUV- en immersiepompatterning en EUV single exposure. Tijdens SPIE vorig jaar presenteerde imec veel vooruitgang in hybride multipatterning en onthulde verschillende uitdagingen van de meer kosteneffectieve EUV oplossing voor eenmalige blootstelling. Dit jaar laten imec en zijn partners aanzienlijke vooruitgang zien met betrekking tot het mogelijk maken van deze dimensies met eenmalige blootstelling van EUV.

Het pad van Imec bestaat uit een co-optimalisatie van verschillende lithografiemogelijkheden, waaronder resistmaterialen, stapel- en nabewerking, metrologie, computational litho en co-optimalisatie van ontwerptechnologie, en een fundamenteel begrip van EUV-resist-reactiemechanismen en van stochastische effecten. Op basis van deze alomvattende aanpak heeft imec veelbelovende voordelen getoond, waaronder de eerste elektrische resultaten, bij een eenmalige blootstelling van de EU met een focus op twee gevallen van primair gebruik: logische NN 32nm steek-metaal-2-laag en 36nm steekcontact-contactarrays.

Greg McIntyre, directeur van geavanceerde patronen bij imec

Imec werkte met zijn vele materialenpartners en beoordeelde verschillende resist-materiaalstrategieën, waaronder chemisch versterkte resists, metaalhoudende resists en op sensitizer gebaseerde resists. Bijzondere aandacht werd besteed aan de resist-ruwheid en aan nano-storingen zoals nanobridges, gebroken lijnen of ontbrekende contacten die worden geïnduceerd door het stochastische EUV-patroonregime. Deze stochastische storingen beperken momenteel de minimale dimensies voor EUV met één blootlegging. Op basis van dit werk concentreerde imec zich op het fundementeel begrip van stochastiek en identificeerde de primaire afhankelijkheden die falingen beïnvloedden. Daarnaast zijn verschillende metrologietechnieken en hybride strategieën gebruikt om een ??juist beeld te krijgen van de realiteit van stochastieken. Imec zal verslag uitbrengen over dit collectieve werk, waarbij de prestaties van verschillende state-of-the-art lijn- en contactgat-resists worden getoond.

Omdat materialen voor resistmaterialen alleen niet geschikt zullen zijn om aan de vereisten te voldoen, heeft imec zich ook gericht op co-optimalisatie van het fotomasker, filmstapel, EUV-blootstellingen en ets naar een geïntegreerde patroonstroom om volledige patronen van de structuren te bereiken. Dit gebeurde met behulp van computationele lithografietechnieken zoals optische nabijheidscorrectie en bronmaskeroptimalisatie, aangevuld met ontwerptechnologische co-optimalisatie om standaardbibliotheekcelgebieden te verkleinen. Ten slotte leveren op etch gebaseerde nabewerkingstechnieken die gericht zijn op het gladmaken van de beelden na de lithografiestappen bemoedigende resultaten voor dichte kenmerken. Co-optimalisatie van deze meerdere knoppen is de sleutel tot het bereiken van geoptimaliseerde patroonvorming en foutmarge bij het plaatsen van randen.

Greg McIntyre, directeur van geavanceerde patronen bij imec vat samen:
“We denken dat dit zeer veelbelovende stappen zijn om EUV in staat te stellen om op betrouwbare wijze single patterning te bereiken op deze agressieve dimensies. Dit zou een aanzienlijke impact hebben op de kosteneffectiviteit van patroonoplossingen voor de volgende paar technologienodes. “

Share.

Reageer

Deze site gebruikt Akismet om spam te verminderen. Bekijk hoe je reactie-gegevens worden verwerkt.

Geverifieerd door ExactMetrics